Senin, 20 Februari 2012

Seven Segment Display

Seven Segment adalah tujuh segmen-segmen yang digunakan menampilkan angka. Seven segment merupakan display visual yang umum digunakan dalam dunia digital. Seven segment sering dijumpai pada jam digital, penujuk antrian, diplay angka digital dan termometer digital. Penggunaan secara umum adalah untuk menampilkan informasi secara visual mengenai data-data yang sedang diolah oleh suatu rangkaian digital. 
Seven segmen ini tersusun atas 7 bbuah LED yang disusun membentuk angka 8 yang penyusunnya menggunakan diberikan lebel dari ‘a’ sampai ‘g’ dan satu lagi untuk dot point (DP). Setiap segmen ini terdiri dari 1 atau 2 Light Emitting Diode ( LED ). salah satu terminal LED dihubungkan menjadi satu sebagai kaki common.
7segmen konfigurasi
Jenis-jenis Seven Segment :
1. Common Anoda

Semua anoda dari LED dalam seven segmen disatukan secara parallel dan semua itu dihubungkan ke VCC, dan kemudian LED dihubungkan melalui tahanan pembatas arus keluar dari penggerak LED. Karena dihubungkan ke VCC, maka COMMON ANODA ini berada pada kondisi AKTIF LOW (led akan menyala/aktif bila diberi logika 0).

2. Common Katoda
Merupakan kebalikan dari Common Anoda. Disini semua katoda disatukan secara parallel dan dihubungkan ke GROUND. Karena seluruh katoda dihubungkan ke GROUND, maka COMMON KATODA ini berada pada kondisi AKTIF HIGH (led akan menyala/aktif bila diberi logika 1). 

7Segmen Konfigurasi CA-CC
Prinsip Kerja :
Prinsip kerja seven segmen ialah input biner pada switch dikonversikan masuk ke dalam decoder, baru kemudian decoder mengkonversi bilangan biner tersebut menjadi decimal, yang nantinya akan ditampilkan pada seven segment. 

Seven segment dapat menampilkan angka-angka desimal dan beberapa karakter tertentu melalui kombinasi aktif atau tidaknya LED penyusunan dalam seven segment. Untuk memudahkan penggunaan seven segment, umumnya digunakan sebuah decoder( mengubah/ mengkoversi input bilangan biner menjadi decimal) atau seven segment driver yang akan mengatur aktif tidaknya led-led dalam seven segment sesuai dengan nilai biner yang diberikan.
Dekoder BCD ke seven segment digunakan untuk menerima masukan BCD 4-bit dan memberikan keluaran yang melewatkan arus melalui segmen untuk menampilkan angka desimal. Jenis dekoder BCD ke seven segment ada dua macam yaitu dekoder yang berfungsi untuk menyalakan seven segment mode common anoda dan dekoder yang berfungsi untuk menyalakan seven segment mode common katoda. Contoh IC converter BCD to Seven Segment untuk 7-segment Common Anoda pake decoder IC TTL 7447 untuk Common Katoda pake IC TTL 7448.

Salah satu contoh saja, IC 74LS47 merupakan dekoder BCD ke seven segment yang berfungsi untuk menyalakan seven segmen mode common anode. Gambar dan konfigurasi pin IC 74LS47 ditunjukkan pada gambar berikut :

74LS47 konfigurasi

Dekoder BCD ke seven segment mempunyai masukan berupa bilangan BCD 4-bit (masukan A, B, C dan D). Bilangan BCD ini dikodekan sehingga membentuk kode tujuh segmen yang akan menyalakan ruas-ruas yang sesuai pada seven segment. Masukan BCD diaktifkan oleh logika ‘1’, dan keluaran dari dekoder 7447 adalah aktif low. Tiga masukan ekstra juga ditunjukkan pada konfigurasi pin IC 7447 yaitu masukan (lamp test), masukan (blanking input/ripple blanking output), dan (ripple blanking input).
Berikut adalah Tabel kebenaran dari IC 74LS47 :


Tabel kebenaran IC 7447


Pada konfigurasi pin IC 7447 yaitu masukan (lamp test), masukan (blanking input/ripple blanking output), dan (ripple blanking input).
LT' , Lamp Test, berfungsi untuk mengeset display, bila diberi logika ‘0’ maka semua keluaran dari IC ini akan berlogika 0. Sehingga seven segment akan menunjukkan angka delapan (8). BI'/RBO' , Blanking Input/Row Blanking Output, berfungsi untuk mematikan keluaran dari IC. Bila diberi logika “0” maka semua keluaran IC akan berlogika “1” dan seven segment akan mati.
RBI' , Row Blanking Input, berfungsi untuk mematikan keluaran dari IC jika semua input berlogika “0”. Bila diberi logika “0”, diberi logika “1” dan diberi logika “0” maka semua keluaran IC akan berlogika “1” dan seven segment akan mati.



Artikel Terkait:

8 comments:

Game Cuma Cuma mengatakan...

kunjungan sore, nice post kawan :)

Rica Rahmah mengatakan...

kunjungan di postingan sobat :D
sukses ya.. :h

Royn mengatakan...

Makasih ya sob?

Royn mengatakan...

Makasih icha :D

keluarga ale mengatakan...

seperti di kalkulator ya. ..

Royn mengatakan...

iya sob, di kalkulator itu juga sperti ini prinsip kerjanya

Leadership Developmant Training mengatakan...

gitu ea....
cara kerja_nya....

:a

http://cody.id/produk/blower/blower-cody-850d/ mengatakan...

keren min, makasih sudah share...
http://cody.id/produk/blower/blower-cody-850d/

Posting Komentar

Silahkan berkomentar disini. SPAM, sumpah serapah, dan kata-kata tidak sopan akan segera saya hapus.